VHDL & FPGA Project : INDUSTRIAL TEMPERATURE CONTROLLER AND MONITORING SYSTEM

  • 12 years ago
Hello friends,
This project is developed using VHDL coding and configured into xilinx FPGA device. In this project system sense the temperature of any heating device by surrounding heat and display that into LCD screen (first row). In this project system automatically send signals to switch on or off the heater or other device. so that a particular temperature would be maintained in any volume. This high or low temperature values or range may be change according to user requirements from 0 deg C to 255 deg C. In this system all the data will be display on the same screen like changing in temperature, low temperature, high temperature, current temperate. So this is very easy to use and handle.
In this project we have used LM35 temp. sensor, SPI based ADC interface, keypad interface, slide switch and lcd display. This project is very useful to save electrical power and to maintain a fixed temperature range in any volume.

I hope you like this video.

I really love to read your suggestions and comments here.
My name is "Naresh Singh Dobal", for more information please write us at nsdobal@gmail.com

Recommended